SOC 설계 시간에 처음 짜본 Verilog HDL 코드입니다. 1bit D Flip/Flop(이하 D F/F)를 짰는데요. 처음 해봐서 그런지 되게 어려웠다는.......
Design Module을 짜고 다시 Test Bench까지 만들었습니다. 아래는 그 소스들이구요 결과 사진도 첨부를 했음ㅋㅋㅋ
겨우 10줄 내외의 소스인데 되게 어려웠다는??;;;
우리 플젝은 수천줄은 될텐데 어떻게 만들지?? ㅎㄷㄷ.....

기억할 것!
1번 module에서 시작해서 endmodule로 끝난다.
2번 negedge(negative edge), posedge(positive edge)
3번 테스트 벤치에서 output은 wire이고 input은 reg이다.

Design Module: DFF.v


Test Bench: test_DFF.v


'Programming > hdl' 카테고리의 다른 글

Digital Clock – FPGA(03 Synthesize)  (0) 2010.04.10
Digital Clock – FPGA(02 소스추가)  (0) 2010.04.10
Digital Clock – FPGA(01 프로젝트 생성)  (0) 2010.04.10
Digital_Clock TestBench  (0) 2010.04.10
Computer Design - Digital Logic  (0) 2010.03.20

+ Recent posts